Publications
"ERSA: Error Resilient System Architecture for Probabilistic Applications," H. Cho, L. Leem and S. Mitra. IEEE Trans. Computer-Aided Design, Jun 11, 2012

"Probabilistic Analysis of Gallager B Faulty Decoder," S. M. Sadegh Tabatabaei Yazdi, H. Cho, Y. Sun, S. Mitra, and L. Dolecek. IEEE International Conference on Communications (ICC) Emerging Data Storage Technologies, 2012, Jun 10, 2012

"HaVOC: A Hybrid-Memory-aware Virtualization Layer for On-Chip Distributed ScratchPad and Non-Volatile Memories," L. Bathen and N. Dutt. 49th Design Automation Conference (DAC 2012), Jun 7, 2012

"On Software Design for Stochastic Processors," Joseph Sloan, John Sartori and Rakesh Kumar. 49th Design and Automation Conference 2012, Jun 3, 2012

"Hardware Variability-Aware Duty Cycling for Embedded Sensors," Lucas Wanner, Charwak Apte, Rahul Balani, Puneet Gupta and Mani Srivastava. IEEE Transactions on VLSI Systems, Apr 1, 2012

"DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators," T.B. Chan, P. Gupta, A. Kahng and L.Lai. IEEE International Symposium on Quality Electronic Design, 2012, Mar 19, 2012

"VaMV: Variability-aware Memory Virtualization," L. Bathen, N. Dutt, P. Gupta and A. Nicolau. Conference on Design, Automation and Test in Europe (DATE) 2012, Mar 12, 2012

"Providing Safe, User Space Access to Fast, Solid State Disks," Adrian Caulfield, Todor Mollov, Louis Eisner, Arup De, Joel Coburn and Steven Swanson. 17th International Conference on Architectural Support for Programming Languages and Operating Systems, 2012, Mar 3, 2012

"Analysis of Instruction-level Vulnerability to Dynamic Voltage and Temperature Variations," Abbas Rahimi, Luca Benini and Rajesh Gupta. ACM/IEEE Design Automation and Test in Europe (DATE) 2012, Mar 1, 2012

"The Bleak Future of NAND Flash Memory," Laura Grupp, John D. Davis, Steven Swanson. File and Storage Technologies (FAST 2012), Feb 15, 2012

"Bubble Razor: An architecture-independent approach to timing-error detection and correction," Mathew Fojtik, David Fick, Yejoong Kim, Nathaniel Pinckney, David Harris, David Blaauw, Dennis Sylvester. IEEE International Solid-State Circuits Conference (ISSCC), Feb 15, 2012

"Characterization and Error-Correcting Codes for TLC Flash Memories," Eitan Yaakobi, Laura Grupp, Paul H. Siegel, Steven Swanson, Jack K. Wolf. International Conference on Computing, Networking and Communications (Data Storage Technology and Applications Symposium) 2012, Feb 1, 2012

"Exploiting Unreliable Embedded Memories," L. Bathen and N. Dutt. International Symposium on Electronic System Design (ISED), 2011, Dec 20, 2011

"Robust System Design to Overcome CMOS Reliability Challenges," S. Mitra, K. Brelsford, Y. Kim, K. Lee and Y. Li. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Special Issue on the IEEE CAS Forum on Emerging and Selected Topics, Dec 11, 2011

"Underdesigned and Opportunistic Computing," P. Gupta and R. Gupta. IEEE Asian Test Symposium 2011, Nov 20, 2011